1. 首页 > 电脑 >

74138实现四变量逻辑函数(74153实现四变量函数)

如何用一片138译码器实现四输入逻辑函数?

可以的,138译码器虽然只有三输入端,但是加上门电路就可输入四位,比如把AB两个变量与门后接入一个输入端,这时对应的最小项仍然是三位,这样才能八线输出,只不过有一位为两变量求与。具体输出逻辑功能的实现也可通过加上门电路,比如因为138输出为输入对应的最小项形式,低电平有效(反码),所以可以先把要实现的逻辑函数变成最小项之和,接反相器后再接或门;或者变成最小项与形式非,直接用与非门实现。

74138实现四变量逻辑函数(74153实现四变量函数)74138实现四变量逻辑函数(74153实现四变量函数)


74138实现四变量逻辑函数(74153实现四变量函数)


若待生逻辑函数有4个输入变量,能否选用74LS138生成此函数?为什么?

若逻辑函数有4个输入变量,可以选用74LS138生成此函数,但需要两片74LS138级联组成的4线-16线译码器,即可以有4位输入变量,16个输出端表示16个最小项。

用译码器74138配合逻辑门设计电路实现逻辑函数L(X,Y,Z)=XY+X/Z,画出逻辑电路。

对应74138的逻辑关系就是 F(A,B,C)=AB+A/C;

则是:AB=11,A‘C=01

C、B、A

0、1、1---Y3;

1、1、1---Y7;

1、0、0---Y4;

1、1、0---Y6;

所以: F(A,B,C)=AB+A‘C ---->就等于 Y3、Y4、Y6、Y7 四个之一,注意:Y是低电平有效的;

所以:----> (Y3 Y4 Y6 Y7)/;

电路:一个74138;以及一个4输入与非门;

74LS138译码器如何实现4人表决通过?

1表示,0表示否定。

011 101 110 111四种情况表决通过。

A B C代表3个人,然后简化。

或:

Sa,Sb,Sc为三裁判按键,按下=1通过,S为开始键

真值表中绿色圈为通过组合,通过後LED亮。

138译码器的ABC做为输入端,Y3,Y5,Y6,Y7连在一个与非门上,令其输出为Y,若Y为高电频,则表决通过,Y为低电频则表决不通过。

扩展资料:

74LS138可以组成三变量输入,四变量输入的任意组合逻辑电路。

用一块3线-8线译码器74LS138可以组成任何一个三变量输入的逻辑函数,任意一个输入三变量的逻辑函数都可以用一块3线-8线译码器74LS138来实现。因为任意一个组合逻辑表达式都可以写成标准与或式的形式,即最小项之和的形式,而·块3线-8线译码器74LS138的输出正好是二变量最小项的全部体现。

参考资料来源:

用一个74LS138译码器实现逻辑函数

a2接a,a1接b,a0接c,s1接高电平,s2,s3接地,译码器输出如下右图

然后根据把逻辑函数∑m(1,3,4,5,6)中y1,y3,y4,y5,y6引脚用与非门相接

y0,y2,y7用非门,然后这2个与非门和非门输出再用1个或门输出

大概如此可能有错,n多年前学过一点,如今也是现学现卖,仅供参考

版权声明:本文内容由互联网用户自发贡献,该文观点仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌抄袭侵权/违法违规的内容, 12345678@qq.com 举报,一经查实,本站将立刻删除。

联系我们

工作日:9:30-18:30,节假日休息